laitimes

The battle of lithography machines

The battle of lithography machines

The battle of lithography machines

Text| Yao Kun

On April 1, 1984, 47 Philips employees were selected to reluctantly move to a new joint venture subsidiary, ASML, where they would work and develop lithography machines in a few cramped bungalows near a garbage dump. The discouraged start-up team probably could not have imagined that more than 30 years later, ASML would become the world's largest manufacturer of lithography machines, with a market value far greater than that of its original parent company, Philips.

The largest exporter in the Netherlands, the largest technology employer in the Netherlands and the world's largest manufacturer of chip equipment later moved to Wildhofen, a city in the south of the Netherlands with a population of less than 300,000, where it continues to influence the pace of development of the entire IT industry.

The battle of lithography machines

Lithography machine affects the development progress of the entire IT industry?

To say that lithography has affected the speed of development of the entire IT industry is not to say that it is an astonishing statement.

Going back to the beginning, the entire digital world is essentially an infinite number of zeros and 1s. For example, every app, every photo, and every short video on the iPhone, all of which end up being a massive string of 1s and 0s. These numbers all go through chips, which are networks of millions, if not billions, of transistors, each of which is an electronic switch that processes and stores the two numbers by turning the current on (1) or off (0). Using your mobile phone to order takeout, send moments, and play games is essentially the chip in the mobile phone, as well as the chip in the server of the Internet platform, which processes countless 0s and 1s at the same time.

The strength of a computer's computing power depends on its internal processing power of a large number of "switches" to 0 and 1.

The state-of-the-art computer in 1945 was the ENIAC built by the University of Pennsylvania for the U.S. Army, with 18,000 vacuum tubes as "switches" for calculating the trajectory of artillery shells, hundreds of multiplications per second, and a huge size that occupied an entire room. Since then, scientists have found smaller, faster, and cheaper "switches" - transistors. By July 1969, the computer that brought Apollo 11 to the moon used Fairchild chips and occupied about one cubic foot of space, one thousandth the size of Eniake.

In 1961, Fairchild released its first chip, in which only 4 transistors were embedded, but soon, the company devised a way to place a dozen transistors on the chip, then 100... Fairchild co-founder Gordon Moore discovered in 1965 that as engineers learned to build smaller and smaller transistors, the number of components that could fit on each chip doubled every year. This prediction of the exponential growth of chip computing power is known as "Moore's Law", whereby Moore predicted what seemed crazy in 1965 "future products", such as "electronic watches", "home computers", and even "personal portable communication devices".

Moore's Law has almost become the roadmap for the development of computers for the next half century or so. In 2020, 11.8 billion tiny transistors were integrated into the A12 processor chip on every iPhone 14. The computing power of mobile phones that everyone can buy has far exceeded that of the U.S. Army's "Eniake" back then.

More computing power, but only lower computing costs, the key is smaller "switches" (transistors), and chips with a larger number of integrated transistors, this is the charm of Moore's Law.

The key to maintaining Moore's Law lies in the lithography machine.

Among the hundreds of processes in chip manufacturing, lithography is the most important step. A chip needs to be photolithography twenty or thirty times in the entire production process, which takes half of the time and can account for one-third of the cost.

It is not an exaggeration to say that the lithography machine has affected the computing power and information storage capacity of the world's computers, considering the wide application of chips in modern defense and military - the earliest orders of the US chip industry came from NASA and the US Air Force to guide rockets and missiles - the pursuit of advanced lithography technology has even gone beyond the scope of industrial chain security, and has become a focus of great power games and geopolitical fluctuations.

"Mess" ASML

But when ASML's first CEO, Jat Smit, arrived, the company was far from the spotlight and could even be described as a mess.

Prior to joining ASML, Smit worked as a sales manager in the Dutch office of telecommunications giant ITT, where he found that profits in the company's telecommunications business were clearly spiraling and would soon bottom out. But after he accepted the position of CEO of the company, ITT's colleagues first questioned his career plans, and he also heard from some prominent analysts that the joint venture between ASM and Philips was doomed to fail.

To some extent, ASML is a joint venture subsidiary of Philips to get rid of its money-burning lithography machine project, and the joint venture ASM is not Philips' first choice, but the last straw that "will be grasped".

Even Philips can't afford to "burn" the lithography machine

The working principle of the lithography machine, or the basic principle of modern chip fabrication itself, is not difficult to understand, and the process roughly includes: (1) drawing the circuit diagram, (2) carving the circuit diagram onto the glass plate to make a mask (also called a mask); (3) The circuit diagram on the mask is projected onto the silicon wafer (wafer) coated with photoresist with strong light, and the part of the photoresist irradiated by strong light becomes dissolvable, so that the circuit diagram is exposed on the silicon wafer; (4) The circuit diagram on the silicon wafer is repeatedly made by etching, diffusion, deposition and other processes to make complex transistors and circuit networks.

Since Texas Instruments' Jay Lathrop invented lithography, the fundamentals of lithography machines and chip manufacturing haven't changed much. However, with the development of the manufacturing process (which can be roughly understood as the density of transistors on a chip), the development and implementation of lithography technology is becoming more and more expensive.

Take the EUV (extreme ultraviolet) lithography machine, which only ASML can manufacture, for example, first look at the light source, when Lythrop invented lithography, only a simple light bulb was needed, but when it comes to the EUV stage, the complexity of the light source has skyrocketed to an incredibly high temperature - in order to produce enough EUV, a small solder ball needs to be crushed with a laser. Cymer, which has been acquired by ASML, has been a major player in the field of lithography light sources since the 80s of the 20th century, and the company was founded by two laser experts from the University of California, San Diego. Somon engineers found that the best practice was to launch a small solder ball with a diameter of one 30 million meters and send it through a vacuum at a speed of about 200 miles per hour. Then the solder ball was irradiated twice with a laser, the first time to heat it, and the second time to bombard it into a plasma at a temperature several times the temperature of the sun's surface. This process of bombarding tin droplets, repeated 50,000 times per second, produces the amount of EUV needed to make the chip.

Looking at the lens again, at first Lythrop only had to turn the ordinary microscope upside down, but in the EUV stage, the process accuracy of the mirror is extremely high, and the 30 cm diameter mirror requires the fluctuation of less than 0.3 nanometers, which is equivalent to making a railway track from Beijing to Shanghai, requiring the fluctuation to be no more than 1 millimeter. Or in the words of Wernick, the current CEO of ASML: "If the mirror area is as large as the whole of Germany, the highest protrusion cannot be higher than 1 centimeter." ”

Looking at the machine again, the area exposed by the lithography machine at one time is only the size of a fingernail, and a wafer with a diameter of 12 inches is all exposed, and it has to be moved hundreds of times. Today's lithography machines are accurate to tens of nanometers for each movement, which is equivalent to tens of thousandths of the diameter of a human hair. If two vehicles are running in parallel at a speed of 30,000 kilometers per hour, the difference between the two must be less than 0.5 mm to achieve the same accuracy as a lithography machine.

Time is money in the chip industry, and it is common for the price of unsalable obsolete products to fall off a cliff. Therefore, the lithography machine must work continuously 24 hours a day, and the annual downtime should not exceed 3%. Keeping such a delicate and complex machine working continuously and stably for a long time is a huge engineering challenge.

Even back in 1984, when ASML was just founded, the development of lithography machines was very expensive, because it was not profitable for a long time, and even Philips, which had deep pockets, was ready to cancel this "non-core business", and if the sale did not work, the joint venture would also work. In fact, if Trost, who later served briefly as CEO of ASML, the decision-maker of the Philips lithography project at the time, had not used the hidden reserves that only he had, the Philips lithography project might have been halted earlier. Philips' treasurers tolerate this privilege because they rely on the company's performance from Trooster's other projects.

The battle of lithography machines
The battle of lithography machines

ASML: The "reluctant" marriage between Philips and ASM

Perkin-Elmer, the American lithography giant at the time, was already interested in working with Philips, and the company had already sold thousands of Micralign lithography machines around the world. Intel introduced the famous 8086 processor in June 1978, which was made with Micralign. By the end of the '70s, Perkin-Elmer had a 90 percent share of the lithography market and was associated with almost all of the top chip makers: from universities to giants such as IBM, Intel, and NEC.

With a large market share, a strong customer base and a global sales channel for the machine, Perkin-Elmer seemed to be the ideal choice to help Philips out of trouble in the lithography machine market. However, Philips failed to make timely decisions and respond to this great opportunity.

After failing to negotiate with several other potential partners, Philips had only one way to cooperate with ASM.

ASM's CEO, Arthur del Prado, studied at Harvard Business School as a young man and was struck by the optimism and ambition of Silicon Valley's computer chip industry during his time in the United States. A well-known Dutch newspaper later quoted him as saying: Del Prado returned to the Netherlands with a wafer in one hand and $500 in the other. He named his company Advanced Semiconductor Materials, Inc. (ASM).

Prado was so successful that ASM became the first Dutch company to be listed on the NASDAQ in 1981. In 1978, the company's revenue was $14 million, and by 1983, it had increased sixfold. At the same time, the chip factories of Philips and Elcoma laid off thousands of workers. In Prado's view, he has been able to build almost all chip production equipment except lithography machines, and as long as he adds lithography machines, he can become a one-stop chip equipment supplier.

But Philips was lukewarm about ASM's enthusiasm, first of all, because ASM was not large enough, and in 1980, ASM's revenue was only $37 million. According to Philips' calculations, the R&D cost of the new generation of stepper lithography machine alone will be far more than 50 million US dollars; secondly, compared with the advanced technology required for the lithography machine, the professional technology required by ASM to manufacture the wire welding machine is simply not worth mentioning, Philips believes that Prado underestimates the complexity of the lithography machine; finally, the sales of lithography machines are different from other chip production equipment, and the purchase of other chip production equipment can be determined by managers, while the sales of lithography machines can only be decided by the board of directors, so ASM's sales channels are not helpful for lithography machines。

But in order to save the lithography project, Philips finally took the initiative to contact ASM. The meeting lasted just over an hour, and after less than 15 minutes of conversation, excluding the time when Prado was away from the table to discuss with the team, ASM decided to work with Philips. The lithography machine business is in line with Prado's ambitions. ASM built the machines used in every step of the chip production process, but he had not previously been involved in the most strategic lithography machines.

Eventually, the two parties partnered to establish a 50:50 joint venture, namely ASML. ASM invested US$2.1 million, while Philips discounted the value of 17 lithography machine parts and components on the lithography machine project by US$1.8 million, plus US$300,000 in cash.

Although Prado and ASM failed to survive the "money burning machine" period of ASML's lithography machine, and almost withdrew their investment on the eve of the "money printing machine" period, and the cumulative investment of 35 million US dollars was wasted, he did make a move at a critical moment to save Philips' lithography machine technology from being buried, and also contributed to the birth of ASML.

The person who picks up the "hot potato".

For Smidt, being ASML's CEO is a bit of a "reunion."

He studied aeronautical engineering at Delft University of Technology before receiving a NASA scholarship to study at the University of Maryland. While working for the European Space Research Organization (now the European Space Agency), he wrote a 70-page booklet analyzing nonlinear solar wind currents in the Earth's magnetic field. His research confirms and interprets the data measured by NASA's first satellite at the time.

He joined Philips in 1969 and left a year later because he couldn't stand the bureaucracy within the company.

Immediately after the initial meeting with ASML employees, Smit contacted his former colleagues at Philips, who thought that Smit was really crazy to take such a "hot potato".

Looking at the team first, the engineers who were transferred by Philips to the joint venture ASML were in an awkward position - they became a joke in the lithography market, and no one believed that they could succeed. ASML employees even see the new company as a "leveraged divestiture," as opposed to a "leveraged buyout" — a spin-off of a business because of bankruptcy. They all think that Philips just wants to get rid of unnecessary burdens.

Looking at the existing products, Philips' 16 upcoming PAS 2000 lithography machines were also handed over to ASML. These lithography machines use hydraulic tables, which require a power unit larger than the machine itself, which creates problems with vibration and noise, as well as the risk of oil contamination. The optics of the PAS 2000 are from France and are not accurate enough. Lithography machines with these flaws are hard to sell.

Finally, looking at the market situation, when ASML was founded, the market leader at the time, GCA, had already delivered hundreds of lithography machines, and the runner-up Nikon was also quickly occupying the market. What is ASML's market share?

But after a long conversation with the team, the fog in Smit's mind began to dissipate, many elements of Philips lithography technology were still ahead of the curve at the time, its alignment system was very advanced in the technology of accurately superimposing chip patterns, and Philips's Natlab had actually developed motorized wafer stages to replace hydraulic workbenches, which was an advantage that competitors did not have. At the time, Natlab was a world legend, with energy-saving light bulbs, portable X-ray machines, rotary shaving systems, and video recorders all coming from the laboratory. In order to protect its interests in the joint venture, Philips and ASM signed a demanding contract, which included ASML paying Natlab 1.5% of its annual revenue for research and development, but ASML also had the opportunity to acquire all the technology needed to advance the development of lithography machines from Natlab.

Find money, build machines, sell them

Smit believes that it is the customer who ultimately determines the fate of ASML. At the end of May 1984, he flew to San Mateo, California to attend the SEMICON West exhibition and visited the chip manufacturers in Silicon Valley.

U.S. chipmakers told Smit that installed capacity (the number of machines running in a customer's factory) is critical in itself. Lithography machines are so complex that they can go down due to the smallest of factors, and chip factories want to keep downtime to a minimum, so after-sales service is crucial. At its peak, GCA had hundreds of service engineers working in the field. ASML has not yet sold machines, has no service department, and has no hands-on experience in chip production, while GCA and Nikon already have hundreds of installed units.

But the industry pulse at this exhibition gave Smit hope that the chip industry is trying to maintain the effectiveness of Moore's Law, and the transition from large-scale integrated circuits (LSI) to ultra-large-scale integrated circuits (VLSI) requires a new generation of lithography machines. Chip lines will shrink to less than 1/1000 of a millimeter, and lithography machines will no longer handle 4-inch wafers, but 6-inch wafers. This shift will take place over the next two years. Next-generation lithography machines need to image 0.7 micron details onto wafers and enable tighter microelectronic integration. And Smit clearly knew at the exhibition that no one had yet found a lithography solution for this chip. Machines made by Canon, GCA, Nikon, and Perkin-Elmer still use lead screw rods to move the wafer stage, and their image details do not reach a positioning accuracy of less than 1 micron, which is where ASML's technology excels.

As an aviation fanatic, Smit studied the integration of the aviation industry, and from the time he was still in college until he received his Ph.D., the number of aircraft factories in the world was reduced from 50 to only a few. During his tenure at ITT, Smit also experienced first-hand the transformation of the telecommunications industry. He knows that new players don't have opportunities in mature markets unless they can make a major technological breakthrough.

Now, Schmit and ASML have two options: either close their doors before they open, or conquer the market by delivering a full-fledged VLSI lithography machine two years later.

Smit uses the analogy of sports when motivating teams. If he expresses it this way, ASML will do something similar to the "run-and-shoot" tactics on the basketball court - grab the ball, quickly advance to the opponent's half, and throw the ball in, ASML needs to find enough money to build the machine in a short period of time, and finally sell the machine.

$100 million?

But at Philips, where a new generation of machines can take up to 10 years to build, ASML has only two years, and their engineers have to break out of the traditional R&D model by breaking down the machine into modules, each developed in parallel by a team of professionals. The real problem is in the final assembly phase, and the traditional approach is to test all the subsystems one by one, and if one subsystem fails, the others have to wait. ASML was able to reduce the length of the testing and assembly phase from two and a half years to six months by building five prototypes at the same time and five teams working in parallel. At their peak, they needed 250 engineers working at the same time.

The team of engineers made a $100 million offer for this, and decided that Smit would never be able to get the board to accept it. Smit, however, is not alarmed, and at ITT, he has dealt with larger investments. After 8 days of rehearsal in his head, Smit presented the sky-high budget to the board of directors, and his speech was full of passion, telling about the crises and opportunities he saw at the exhibition, he believed that the lithography machine industry will repeat the market rules of the aviation and telecommunications fields, and the R&D investment of the new generation of equipment is 10 times that of the previous generation of equipment, and there will be manufacturers eliminated in each round. There are now about 10 companies vying for market share, and only a few will be left in the end.

"So we're going to be in at least the top three. "There's only one way to get into the top three – get to the top of the industry." We have to fight for the gold medal, third place is not enough, we have to fight for first place. Our only chance to win is to develop a proactive, innovative, focused strategy. The inevitable reshuffle shows the cruelty of the market. If we're lucky, we'll end up at the top, if we're not too successful, we'll end up in third, and if we're unlucky, we'll be out in sixth. But if we're happy with third or sixth, we'd better just stop now. We have to aim for the top, there is no other choice. This is our only chance of survival. ”

Smit was left with a choice for the board: pay the money, or let ASML go out of business.

The board's tentative response is "yes", and Philips and ASM have decided to increase their investments by $1.5 million each. The board wants Smit to find the investment himself and develop a more detailed plan, and now he can push the team to build the machine. They gave the new product the name PAS 2500 and planned to present the machine at the SEMICON West show in 1986.

The battle of lithography machines

Since you are in a hurry, you can't learn from Philips

Smit injected ASML with an "informal culture" that was completely different from that of Philips, and in order to motivate the initially demoralized team, he even hired someone to make cartoon slides, which was completely new to the engineers who had previously worked at Philips.

In order to quickly recruit a large number of engineers, ASML's first job advertisement, on which the logos of ASM and Philips were very eye-catching, making people think that working at ASML was working at Philips. This caused Smit to be scolded by Philips, but he pretended he didn't know he shouldn't. The ad also says that there is no need to reply to a resume. Those interested in applying can call between 6 and 10 p.m. This approach was very special in those days, in fact, ASML started the first round of elimination on the phone. The poor economic situation has left many engineers waiting to be employed in the Netherlands, and the advertisement has attracted around 300 applicants.

ASML's production method is also completely different from Philips, and it is impossible to manufacture everything by itself in order to pursue R&D speed and number of shipments, so outsourcing as much as possible is one of the company's key strategies. In the first few months of its founding, ASML defined the company's positioning: a company that only conducts R&D and assembly. This was unheard of at the time.

Because some subsidiaries of Philips could not deliver on time, ASML often had to find another supplier, and in the late 80s of the 20th century, small suppliers in some segments could receive orders of this size enough to maintain operations. The seeds of ASML's famous outsourcing ecosystem were planted in those years.

To manage the vast supply chain and production process, ASML requires developers to be involved early in production and allow them to select parts. Every adjustment, including the adjustment of every screw and nut, must be faithfully documented in the logistics system. This gives suppliers more clarity when preparing their stocks, and allows ASML to better understand the progress of the arrival of each part. In order to guide 10,000 parts from the river to the sea, ASML even spent millions of dollars in its early days to buy a logistics and supply chain system from Xerox, and hired a full-time employee to oversee the system's entry.

At this time, the first signs of a recession are already being revealed, and chipmakers are becoming more cautious. That's why Schmit wants customers to start trial machines as soon as possible, rather than waiting for the PAS 2500 two years later.

The ASML team built the PAS 2400 in six months, replacing the hydraulic machine with an electric motor from Natlab. In the process, ASML engineers, who were rushing to work at the pace of a start-up, had to fight against the rhythm of Philips. If an ASML engineer called on a Friday afternoon to ask for parts, Philips would say, "It's impossible to get the parts out before the weekend because it's almost 5 o'clock." "Philips employees never work overtime. The solution is: give them a little cash, a few beers, a bottle or two of wine. Later ASML engineers always had their trunks full of beer and wine in order to pick up the ordered parts from Philips as soon as possible. In addition, they also give some overtime pay to workers in cash from time to time.

At SEMICON West in 1985, an ASML engineer compared the PAS 2400 with a competitor's machine in the show booklet, and found that other machines he was familiar with had problems during demonstrations, with the booth often closed during repairs, while the PAS 2400 was running almost constantly.

In order to catch up on the PAS 2500, some ASML employees worked late into the night. ASML rents a house in Wildhofen where engineers far away from home can sleep directly if they work late into the night. In case all the beds are occupied, they put their sleeping bags in the trunk of the car.

The PAS 2500, which was supposed to be completed on January 1, 1986, was finally ready to attend that year's SEMICON West in early May 1986. An ASML engineer observed a competitor's booth and conducted a brief survey. His first question: Which one has the best lithography machine? Next question: Who has the second best machine?

How to fight for AMD, Micron, TSMC

At the beginning of 1986, ASML welcomed its first customer, a small chip manufacturer MMI, who purchased the PAS 2400. Since then, ASML has finally truly become a new contender with installed base. Although the PAS 2400 was only a transitional machine, MMI was so pleased with the machine that its head of production allowed ASML to use his photo in an advertisement that ran in early 1986.

The PAS 2500's appearance at SEMICON West 1986 also attracted another customer, Cypress, whose CEO Rogers made quite a few requests. "You have the best machines in the world. Rogers said to Smidt, "But if the machine messes up my project and forces me to jump, I want to make sure you jump too." So, you have to buy some shares in my company. ”

This kind of community of interests connected by equity relationships is not uncommon in the chip industry, for example, in order to strengthen the relationship with key supplier Zeiss, ASML later also took a stake in Zeiss's semiconductor manufacturing technology business group (SMT).

Later, ASML's CFO managed to settle the funds with NMB Bank to finance the share purchase, and ASML also got the order from Cypress.

But at that time, Smit's most wanted customer was AMD. For this reason, he did not hesitate to publicly "shout" at AMD CEO Jerry Sanders.

At the SEMICON West spring banquet, Sanders lamented that the quality and service of American chip equipment manufacturers were so poor that they had to buy equipment from Japan. So Smit ran an ad in an industry journal with the headline: "We hear you, Jerry." The text of the advertisement: "ASML lithography machines take up Jerry Saunders' reliability challenge and guarantee 90% uptime, which is almost twice as high as the industry is now." Jerry, you don't even have to worry about the earthquake on the San Andreas Fault, our machines are indestructible. ”

In the fall of 1986, AMD asked the relevant managers to prepare the paperwork for the purchase of the PAS 2500 in advance. But at the last minute, Sanders didn't place the order. At that time, the industry was still in recession, and he had no funds, so he wanted to wait until the market recovery was clearer before making a decision. The cancelled order accounted for half of ASML's production capacity at the time.

It wasn't until June 1, 1987 that AMD signed a contract for the purchase of 25 PAS 2500s. It was not Smit who convinced AMD, but ASML's machine at MMI. When AMD acquired MMI and took inventory, it found Perkin-Elmer's machines dusting in corners. At the same time, six PAS 2400s were being manufactured with the support of ASML's service engineers, which led AMD to say "yes" to ASML.

The same story repeated itself in the early 90s of the 20th century, when Samsung reached ASML after visiting the factory of ASML customer Micron, and the two sides reached a cooperation after a tortuous negotiation.

At the end of the 80s of the 20th century, ASML acquired two key customers.

The first is Micron. After consultation, ASML decided to assign a team of service personnel to the PAS 2500 with the goal of meeting all the specifications promised by ASML, namely increasing the average wafer yield per day and reducing the maximum downtime of the machine. ASML also has a condition attached: if the machine's performance improves, it will share the profits. In the years that followed, Micron grew steadily, and ASML benefited from it. While most U.S. companies have ceded memory to the Japanese, Micron has continued to produce and is now one of the world's largest manufacturers of semiconductor storage and imaging products.

The other is TSMC. When TSMC was founded in 1987, Philips received $58 million in exchange for chip technology for a 27.5% stake in it. TSMC, which is also a subsidiary of Philips, took full advantage of this advantage and made ASML's negotiations difficult, TSMC refused to pay for the service, and finally sent ASML a two-fist-thick contract.

At the end of 1988, as soon as TSMC completed the installation of the machines, it sent a fax: 17 new machines were needed because the factory was burned. The order gives ASML breathing room at a critical time. Of the machines that were sent back, there was only minimal smoke damage, and many of them were easily repaired. In 1989, TSMC's insurance company, the last real financier, became ASML's biggest customer that year.

But less than four months after ASML received the AMD order, Smit stepped down as ASML CEO. Financially, ASML was worse off when Smit left office than it had been when it was founded in 1984, and by the end of 1987 the company had spent nearly $50 million. The planned sales target was not met, and ASML continued to lose money. The honeymoon period between ASM and Philips is over. But ASML already has a creative and self-reliant development team, and its logistics and mass production systems are maturing.

Even though the company has been losing money, Smit still uses the $700 a day for external consultants, and even pays for the consultants' travel expenses to the United States, which of course causes dissatisfaction among the people around him. But if it weren't for the fact that he valued opportunity over cost, insisted on investing during the industry recession, and hit the top at the beginning, ASML would not have been able to gain a dominant position in the lithography machine field since then.

The battle of lithography machines

Survive the darkest moment of not being able to pay your salary

Looking at it from the rearview mirror now, from 1984 to 1987, the long recession of the market actually gave ASML breathing room, and without the recession, Canon and Nikon are likely to occupy the entire market, because ASML and Zeiss, a key supplier of American lithography machine giant GCA, have too poor production capacity. Even with large orders, ASML's production capacity in 1986 and 1987 simply could not be completed. The recession has had a much greater impact on Canon and Nikon than it has on ASML.

In the spring of 1988, ASML experienced the darkest moment when it was about to lose its salary, and it was only revived by a $1.3 million transfer from Philips. In the same year, in order to avoid being dragged down by ASML, Philips assumed ASM's shares and liabilities in ASML.

In the end, it was the PAS 5500 that turned ASML around, a machine that implements a Lego-like modular system that can be disassembled and assembled like a model kit. In the case of previous lithography machines, chipmakers often had to shut down production for weeks and spend a lot of money when they had to replace lenses.

ASML prepared a "show" for the potential big customer IBM to assemble the PAS 5500, and when IBM could not fly to the Netherlands to see the machine due to the international situation, ASML chose to record the "performance video" to IBM, and the advanced degree of the equipment made the IBM people very excited.

In the first few months of 1993, capital inflows into ASML began to accelerate. Orders and deliveries are rising, the latest lithography machines are selling at much higher prices, and revenues from services and upgrades are increasing. In 1992, the company's annual revenue jumped from $81 million to $119 million, and ASML is now finally able to survive on its own. Although the company still lost $20 million that year, this was mainly due to the "labor pains" before the birth of the PAS 5500. The PAS 5500 keeps the company's cash flow growing. For the first time in the company's history, money is flowing in positively, rather than out.

A day later, the head of Philips' finance department called and asked ASML not to pay such a large sum of money by check again, and the company lost two days of interest.

In 1995, ASML went public, but not in the Netherlands, and the feedback they received during the roadshow was so cold that they didn't even believe their pensions, so they had to turn to the NASDAQ. The listing in the United States and the introduction of American shareholders may have been the reason why ASML was able to avoid some geographical restrictions later.

By 1996, some ASML employees were walking around in printed T-shirts that said: We will beat the Japanese.

Defeat Japanese companies

Immersion lithography was a key milestone in ASML's victory over Nikon.

At the end of the 90s of the 20th century, the lithography light source was stuck at 193nm and could not progress, Moore's Law was blocked, and scientists and industry proposed various schemes.

The winner was the simplest solution in engineering, adding 1 mm of water over the wafer photoresist. Water can refract a wavelength of light from 193 nm to 134 nm. Immersion lithography successfully crossed the 157nm mark and directly achieved a half-cycle of 65nm. Coupled with the continuous improvement of lenses, photoresists, and FinFET technologies, the immersion 193nm lithography machine has been manufactured in 7nm (the A12 chip on the iPhone XS uses the 7nm process). Wernick, the current CEO of ASML, once said: "The iPhone can appear because of immersion lithography. ”

In 2002, TSMC's Dr. Benjian Lin proposed an immersion 193nm solution, and then ASML developed a prototype within a year. TSMC also became the first company to achieve immersive mass production, and has since caught up with Intel, which has led the previous manufacturing process.

The immersion type has small improvements, large effects, and low cost, and almost no one has ordered the 157nm dry lithography machine that Nikon introduced almost at the same time. While it took Nikon only a year to catch up with the immersion technology, ASML has already taken orders from many major customers, such as IBM and Intel.

This led to the fact that Nikon was no longer strong in the future. Nikon was still the leader in 2000, but by 2009 ASML's market share reached nearly 70%, far ahead.

The difficulties of EUV lithography machine have been described above, an EUV lithography machine has more than 100,000 parts, needs to be transported in 40 containers, weighs 180 tons, and takes more than 1 year to install and debug.

As early as 1997, in the face of the challenge of 193nm, Intel persuaded the Clinton Cabinet, the most liberal high-tech cabinet in the United States, to launch the cooperative organization EUV LLC. Led by Intel and the U.S. Department of Energy, the organization also includes Motorola and AMD, as well as three major U.S. national laboratories — Lawrence Livermore Laboratory, Lawrence Berkeley Laboratory and Sandia National Laboratory — investing $200 million to bring together hundreds of top scientists to theoretically verify the feasibility of EUV lithography.

The U.S. government is still sensitive to the trade war with Japan in the 80s of the 20th century, and does not want Japanese companies such as Nikon and Canon to cooperate with U.S. national laboratories, even though Nikon originally believed that EUV technology would not work.

The result was that Nikon was excluded and ASML was allowed to join (after making a bunch of promises of contribution to the United States).

In 2012, ASML asked Intel, Samsung and TSMC to take a stake in itself because the R&D investment in EUV lithography machines required 1 billion euros per year. In total, ASML has successfully raised 5.3 billion euros from the Big Three. For the whole of 2012, ASML's sales were only 4.7 billion euros.

In 2015, the prototype of the mass-produced EUV lithography machine was released, and ASML stood at the pinnacle of lithography technology.

An irreproducible story

As Reni Regimaker, author of the book "Lithography Giants: The Road to the Rise of ASML", said in an interview with China Economic Weekly: If you want to build a lithography machine, you need to invest a lot of money and manpower in technology, but such technology can only last for several generations. Replicating ASML's success requires a combination of capital, talent, government support and historic opportunity.

ASML's success cannot be replicated, but its experience is instructive for latecomers in the chip industry, especially its unique outsourcing approach and supplier ecosystem.

In today's complex chip industry supply chain, a typical chip may be designed by a team of engineers in California or China, using design software in the United States, according to the blueprint of ARM, headquartered in the United Kingdom. Once the design is complete, it will be sent to a factory in Taiwan, China, which will buy ultra-pure silicon wafers and special gases from Japan, and then use the world's most sophisticated machines made by a Dutch company to engrave the design on the silicon. Without these companies, it would be difficult to make advanced chips. The chips are then packaged and tested, usually in Southeast Asia, before being shipped to China for mobile phones or computers.

Taking iPhone as an example, TSMC and Samsung, as foundries, are Apple's suppliers, ASML, as a lithography machine manufacturer, is a supplier of TSMC and Samsung, and Zeiss, as an optical component manufacturer, is a supplier of ASML...

According to ASML's 2022 annual report, the total number of its suppliers is about 5,000, of which 1,600 are in the Netherlands, 1,300 in North America, and 1,350 in Asia.

This long chain shares R&D costs as well as business benefits.

Some analysts believe that one of the main reasons for the Soviet Union's backwardness in chips is the lack of an international supply chain. Silicon Valley's global division of labor with U.S. allies has been extremely efficient. At that time, Japan mainly produced memory chips, the United States produced more microprocessors, and Japan's Nikon and Canon and the Netherlands' ASML carved up the lithography equipment market. Workers in Southeast Asia are responsible for most of the final assembly. Companies in the United States, Japan, and Europe competed for position in the chain, but they all benefited by being able to spread the cost of research and development to a semiconductor market that was much larger than the Soviet Union.

How to make good use of the international supply chain and how to position itself in the international supply chain is a problem that every latecomer in the chip industry should seriously think about.

This article is synthesized from:

Translated by Jin Jieban, Lithography Giants: The Road to the Rise of ASML, People's Posts and Telecommunications Press, 2020.10.

Translated by Chris Miller, Chip Wars: The Battle for the World's Most Critical Technologies, Zhejiang People's Publishing House, 2023.5.

Yu Sheng: "Chip War", Huazhong University of Science and Technology Press, 2021.11.

Station B UP main "talk about three circles" lithography machine and chip series popular science video.

(This article was published in the 22nd issue of China Economic Weekly in 2023)

Read on