天天看點

UltraEdit解決Verilog高亮問題

一、使用UE編輯顯示Verilog代碼時,對Verilog高亮解決方法:

1、首先安裝ultraedit軟體,然後假設你想高亮verilog語言,那麼你要到ultraedit官網下載下傳verilog.uew檔案。

2、在wordfiles中有你需要的幾乎任何程式設計語言的高亮配置檔案,把你需要的進行下載下傳(下載下傳verilog2001.uew)。

3、下載下傳之後,把它們放在你ultraedit安裝目錄wordfiles下面。

4、啟動你的ultraedit,選擇進階-配置。

5、在配置中選擇編輯器顯示-文法着色。把wordfiles的完整路徑進行複制,勾選相應選擇。此處要看清wordfiles完整路徑,否則沒效果。

6、完成之後,重新打開ultraedit,随便選擇一個verilog檔案,可以看到高亮顯示了。

二、使用UE編輯顯示Verilog代碼時,Verilog代碼中從always @(*)之後的代碼不在顯示高亮解決辦法:

1、打開 verilog 2001.uew,然後查找 verilog 2001.uew中的 Block Comment On Alt = (* Block Comment Off Alt = *)并删除這一部分,重新打開檔案就可以正常顯示高亮了。

2、就是使用always *  不要括号,也可以正常使用。

參考:https://blog.csdn.net/lg2lh/article/details/46055455?locationNum=14&fps=1

繼續閱讀