天天看點

【VHDL】計數器實作2-4-8分頻

計數器實作2-4-8分頻

  1. 分頻器:對較高頻率的信号進行分頻,得到較低頻率的信号,本質是計數器
  2. 類似于2-4-8分頻的問題有以下兩個特點:

    一、二的指數倍 -----------

    二、占空比為50% ------------

    一般的解決方式 :定義一個資料,按照标準邏輯位計數,對其最低位,低位,次高位,高位…進行資料輸出。

  3. 占空比:在一段連續工作時間内脈沖占用的時間與總時間的比值

程式:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT_248 IS
PORT(CLK:IN STD_logic;
	CNT:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);
	Q_2,Q_4,Q_8:OUT STD_LOGIC);
END ENTITY;

ARCHITECTURE ONE OF CNT_248 IS
BEGIN
	PROCESS(CLK)
	BEGIN
		IF CLK'EVENT AND CLK='1' THEN CNT<=CNT+1;
		END IF;
	END PROCESS;
	Q_2<=CNT(0);
	Q_4<=CNT(1);
	Q_8<=CNT(2);
END;
           

仿真結果:

【VHDL】計數器實作2-4-8分頻

繼續閱讀