天天看點

DCT變換的FPGA實作

硬體測試圖

1.先進行管腳綁定

DCT變換的FPGA實作

選擇pin planner

DCT變換的FPGA實作

這個就是管腳綁定。

3.然後對signaltap進行配置

DCThaffman編碼之壓縮部分:

DCT變換的FPGA實作

解壓縮:

DCT變換的FPGA實作

小波shint編碼壓縮:

DCT變換的FPGA實作

小波shint解壓縮:

DCT變換的FPGA實作

仿真圖:

DCT那個算法:

        第1部分:RGB轉Ycbcr,這個子產品的仿真如下所示:

DCT變換的FPGA實作

這個結論,隻要通過公式

DCT變換的FPGA實作

進行簡單的計算就可以驗證其正确性了。

        第2部分:DCT子產品,這個子產品的仿真結果如下所示:

DCT變換的FPGA實作

        DCT變換過程中,會有大量的小數等情況,是以,在FPGA中做處理的時候,會有誤差,不過DCT變換有個較大的特征,就是每個8*8塊的DCT變換後都有一個極大值,是以上面的仿真結果基本正确。

最後仿真結果如下所示(即加入DCT和huffman編碼之後的整體仿真):

DCT變換的FPGA實作

然後将幾個主要的信号放大:

DCT變換的FPGA實作

然後單獨的壓縮和解壓縮如下:

DCT變換的FPGA實作
DCT變換的FPGA實作

小波那個算法:

DCT變換的FPGA實作

将仿真波形放大,其中小波變換之後如下所示:

DCT變換的FPGA實作

編碼解碼如下所示

DCT變換的FPGA實作

最後小波逆變換之後得到如下結果:

DCT變換的FPGA實作

然後改為三通道後,整體仿真結果如下(單個通道的放大仿真圖和上面一樣,這裡就不重複了):

DCT變換的FPGA實作

單獨壓縮

DCT變換的FPGA實作

單獨解壓縮

DCT變換的FPGA實作