Semiconductor materials are one of the most important links in wafer manufacturing and packaging, and we believe that the global semiconductor materials market is expected to continue to expand with the continuous penetration of AI, HPC and other application scenarios, and the demand for semiconductor materials is expected to enter a recovery stage with the recovery of fab utilization rate. This paper analyzes the investment value of the semiconductor materials industry by reviewing and studying each segment of the semiconductor materials market in Japan.
summary
After years of development, Japan companies have a high share in various segments of semiconductor materials. Taking the data of 2021 as an example, in terms of front-end materials, Japan companies accounted for 51%/71%/50%/22%/25%/13%/23% of the market share of silicon wafers/photoresists/splash materials/masks/wet electronic chemicals/electronic special gases/CMP polishing slurries, respectively; In terms of back-end materials, Japan companies have a high share in the fields of molding materials, underfill materials, IC substrates and laminated materials.
The recovery of the utilization rate and the demand for AI are expected to recover. According to SEMI, the capacity utilization rate of the world's major wafer foundries in 1Q24 is basically at 65%~80%, which is at a low level. Looking ahead, we believe that with the arrival of the peak season of traditional consumer electronics and the increase in the volume of AI products, the demand side of semiconductor materials is expected to enter a recovery stage; At the same time, the demand for high-performance chips in AI, HPC and other fields has driven the accelerated penetration of advanced packaging, which further promotes the growth of demand for semiconductor materials.
The penetration of AI and advanced processes has driven the expansion of the multi-category semiconductor materials market. In terms of silicon wafers, applications such as AI and computing power have a strong demand for advanced process silicon wafers, and according to SUMCO's estimates, the demand for silicon wafers for AI servers and mobile phones is expected to reach 800,000 wafers per month in 2027. In terms of photoresists, according to TECHCET's estimates, the proportion of EUV photoresist is expected to increase from 6% in 2023 to 10% in 2025; In terms of IC substrates, the rapid expansion of AI chips, 5G, CPU, HPC, GPU, servers and the automotive industry has driven the gradual expansion of the carrier board market, and according to Yole's estimates, the IC substrate market space is expected to reach $34 billion in 2028. In terms of molding materials, we believe that in the future, we are also expected to develop into the field of high-end materials such as granular molding materials (GMC) and liquid molding materials (LMC).
risk
Global fab capex declines; Demand is lower than expected; Geopolitical conflicts intensify.
body
The history of the development of the semiconductor materials industry
In "Global Hard Technology Tour (1): The Growth Road of Japan's Semiconductor Equipment", we explained the semiconductor equipment segment in which Japan companies have advantages, and in this article, we will continue to explain the competitive advantages and development prospects of Japan companies in the semiconductor materials industry.
The global semiconductor materials market will be about $66.7 billion in 2023, and the cyclical recovery will resonate with AI-driven
There are many types of semiconductor materials, and materials such as silicon wafers, photomasks, photoresists, wet chemicals, polishing slurries, and electronic special gases are usually used in the semiconductor front-end manufacturing process, and IC substrates, molding materials, wire bonding materials, probe cards, test boards and other materials are usually used in the semiconductor back-end manufacturing process. According to SEMI statistics, the global semiconductor materials market size will be about $66.7 billion in 2023, of which the market size of front-end materials and back-end materials will be $41.5/$25.2 billion, respectively. In 2023, the decline in fab capacity utilization led to a decline in material demand, and the market size decreased by 8.2% year-on-year compared to 2022. Looking ahead, we believe that with the recovery of the fab utilization rate and the gradual digestion of the inventory side, the market size of semiconductor materials is expected to gradually increase.
Figure 1: Application of semiconductor materials in wafer fabrication
Source: SEMI, official websites, CICC Research
The demand for semiconductor materials is related to the capacity utilization rate and inventory of downstream wafer fabs, packaging and testing plants, and shows a marginal positive trend in the short term. From the perspective of capacity utilization, according to SEMI data, the capacity utilization rate of the world's major wafer foundries in 1Q24 is basically at 65%~80%, which is in a state of bottom shock. According to SUMCO, the wafer market is expected to gradually recover due to demand for artificial intelligence, PCs, and smartphones.
From the perspective of semiconductor material inventory cycle, we take silicon wafers as an example, due to the characteristics of silicon wafers that are standardized and convenient for long-term storage, wafer factories usually stock up when demand is strong, resulting in silicon wafers becoming one of the semiconductor materials with the longest downstream inventory cycle. Since the second half of 2022, the lack of demand in areas such as terminal consumer electronics has led to a decline in fab capacity utilization, resulting in continued high downstream fab inventories. According to SUMCO's FY1Q24 earnings briefing, as of March 2024, the 300mm wafer inventory level of the client is still increasing, but the inventory turnover days have been basically stable since 2H23 and have begun to decline gradually in 1Q24, and the wafer demand is expected to enter the recovery stage.
Figure 2: Global major fab capacity utilization
Source: Company announcements, CICC Research
Figure 3: Fab wafer inventory
Source: SUMCO announcement, CICC Research
Competitive landscape overview: The supply side is still dominated by Japan companies
Japan manufacturers occupy a high share of the global semiconductor materials market. In the field of front-end materials, Japan companies have great advantages in the field of photoresists, targets and silicon wafers, taking 2021 data as an example, Tokyo Applied Chemical (TOK), JSR, Shin-Etsu Chemical and other companies occupy 77% of the global photoresist market, JX Metal, Tosoh and other companies occupy 50% of the global target market, Shin-Etsu Chemical (Shin-Etsu Chemical) and Shenggao (SUMCO) occupy 51% of the global silicon wafer market. In addition, Japan companies also occupy a certain share in the fields of photomasks and wet electronic chemicals, and leading companies include Toppan, Japan Printing (DNP), Kanto Chemical, Mitsubishi Chemical, etc. In the field of back-end materials, companies such as Ibiden and Shinko Electric occupy a high share in the global IC substrate field, Ajinomoto and Sun Ink occupy more than 90% of the global packaging laminate and packaging solder mask market respectively, Namics, Showa Denko and other companies occupy 82% of the global underfill material market, and Nagase, Sumitomo Bakelite and other companies occupy most of the global molding material market.
Exhibit 4: Global Semiconductor Materials Market Competitive Landscape (2021)
SOURCE: SEMI, TECHCET, CICC RESEARCH
Front-end materials: silicon wafers, targets, masks and other fields Japan companies account for a relatively high proportion
According to SEMI data, global silicon wafer shipments in 2023 will be 12.602 billion square inches, a year-on-year decrease of 14.3%; Sales of $12.3 billion decreased 10.9% year-on-year, with orders for 300mm wafers mainly due to weak demand in memory and logic, and the shipment area of 300mm polished wafers and epitaxial wafers decreased by 13% and 5%, respectively. The decline in 200mm wafer shipments was mainly due to lower wafer foundry and analog wafer consumption. On a quarterly basis, SUMCO believes that the demand for 1Q24 300mm wafers has bottomed out, of which the demand for logic and DRAM for AI has increased, and the production of other downstream industries and wafers below 200mm is still in a state of adjustment, and it is expected that the demand for 300mm wafers will gradually recover in 2Q24, and the shipment of 200mm wafers will remain low. Demand for consumer PCs and smartphones is expected to recover gradually.
AI applications are driving the growing demand for advanced logic and data center memory. The demand for silicon for traditional servers is mainly focused on CPU and DRAM, while the demand for GPUs and HBM for AI servers has brought an increase in their demand for silicon wafers. According to SUMCO's calculations, the demand for silicon wafers for AI servers is about 3.8 times that of traditional servers, and the CAGR for advanced process silicon wafers for AI servers will be about 14% from 2023 to 2027, and the demand for silicon wafers for traditional servers will be 9%, and the total demand for silicon wafers for servers is expected to exceed 1 million pieces per month (300mm diameter) in 2027. At the same time, SUMCO expects that the accelerated penetration of AI mobile phones and PCs is expected to drive the demand for upstream wafers and wafers. According to SUMCO's estimates, the demand for advanced logic chips for servers, PCs, and smartphones will be about 300,000 pieces of silicon wafers in 2023, and it is expected to exceed 800,000 pieces per month by 2027 (of which servers account for 37%, PCs account for 32%, and smartphones account for 13%), with a CAGR of 28%.
Figure 5: Silicon demand for advanced logic chips
Source: SUMCO, CICC Research
Exhibit 6: Wafer Market Competitive Landscape (2021)
Source: Omdia, CICC Research
From the perspective of the competitive landscape, the silicon wafer industry has high entry barriers and high industry concentration, and the main share is concentrated in Japan's Shin-Etsu Chemical, SUMCO, Taiwan Global Wafer, Germany's Siltronic AG and Korea's SK Siltron. According to Omdia data, the market share of the top five silicon wafer manufacturers in 2021 will exceed 90%, of which Japan companies Shin-Etsu Chemical and SUMCO will account for 27%/24%, leading the way.
According to SEMI data, the global semiconductor photoresist market size will reach US$2.71 billion in 2022, and the photoresist market size CAGR from 2015 to 2022 will be 10.7%, with a rapid growth rate in the market size, SEMI estimates that the global semiconductor photoresist market size will reach US$2.55 billion in 2023, a decrease of 5.9% from the same period last year, mainly affected by sluggish downstream demand and inventory cycle. In 2023, the semiconductor industry is still in the inventory adjustment stage, and the decline in fab utilization has led to a decline in material consumption.
From the perspective of spin-off, EUV photoresist is usually used in advanced processes below 7nm, and with the improvement of advanced processes and advanced packaging penetration, the proportion of EUV photoresist in photoresist will also increase. According to TECHCET's forecast, with the increase of advanced process and memory capital expenditure, EUV and KrF photoresist will grow significantly, and the market space of G/I line photoresist will tend to be saturated, and the proportion will decrease year by year in the future, and the overall structural change will be greater. In 2023, EUV photoresist will account for about 6%, and in 2025, the market share of EUV photoresist will increase to 10%.
Japan According to Nikkei Asia, in 2022, Japanese manufacturers accounted for about 77% of the photoresist market, of which Tokyo Oika, JSR and Shin-Etsu Chemical accounted for 24%/19%/18% of the market share, respectively. In 2022, Tokyo Yinghua accounted for 26.1% of the global semiconductor photoresist market share, of which EUV/KrF photoresist had a high market share of 38.0%/36.6%, and ArF/GI-line photoresist market share was 16.2%/22.8%, respectively.
Mask is a pattern transfer master in the semiconductor manufacturing process, and is a key raw material in the semiconductor manufacturing process. Due to the advantages of high pass rate, high flatness and low expansion coefficient, high-end mask usually uses high-purity quartz glass as the base material.
According to the Longtu mask prospectus, the global semiconductor mask market size will be about 9.528 billion US dollars in 2023, and the Chinese semiconductor mask market size will be about 1.778 billion US dollars. From the perspective of the competitive landscape, according to the announcement of Taiwan, China, in 2022, the scale of self-built mask fab will account for 65%, and the scale of third-party mask will account for 35%. Among the third-party mask manufacturers, Japan manufacturers dominate the global mask market, especially in the field of EUV masking. According to DNP's official website/announcement, DNP will complete the development of mask manufacturing process for 3nm equivalent EUV lithography in 2023, and plans to increase the number of multi-beam mask lithography equipment, which is planned to start operation in the second half of 2024, in addition, in December 2023, DNP announced that it will cooperate with IMEC (Belgium Microelectronics Research Center) to promote the development of lithography mask manufacturing process in the 2nmEUV lithography era. The second and third MBMW (Multilayer Photomask Writing Tool) mask lithography systems are scheduled to be launched in FY2024, and mass production of masks for 2nm logic chip production is scheduled in 2027.
According to the China Electronic Materials Association, the global wet electronic chemicals market size reached US$8.86 billion in 2022, a year-on-year increase of 6.65%. We believe the demand for wet electronic chemicals is expected to continue to grow as the semiconductor industry adds fab capacity and wafer sizes to 12-inch, as well as the display panel industry upgrades to higher-generation line products. According to the prospectus of Zhongjuxin, the electronic wet chemicals consumed by 12-inch wafer manufacturing are 4.6 times that of 8-inch wafer manufacturing and 7.9 times that of 6-inch wafer manufacturing.
According to the use of products, wet electronic chemicals can be mainly divided into general chemicals and functional chemicals, and the specific products mainly include sulfuric acid, hydrogen peroxide, Cu PEER, etc. According to TECHCET data, sulfuric acid accounted for the largest share of the global wet electronic chemicals market in 2023 (about 28%), followed by hydrogen peroxide and Cu PEER (about 13% each).
European, American, Japanese and South Korean suppliers occupy the main market share of semiconductor wet chemicals. According to the prospectus of Zhongjuxin, in 2022, European and American traditional chemical enterprises/Japan enterprises will occupy about 30%/25% of the market share, and the remaining countries represented by China and Korea will occupy the remaining 20% of the market share, and the high-end market of the global wet electronic chemicals industry is mainly occupied by the first two European and American traditional chemical enterprises and Japan enterprises. The main manufacturers of semiconductor wet chemical materials include BASF in Germany, Ashland Chemical and Arch Chemical in United States; Japan's Kanto Chemical, Mitsubishi Chemical, Kyoto Chemical, Sumitomo Chemical, Wako Pure Chemical Industry, Korea's Dongyu Fine Chemical, etc., all of which account for more than 85% of the global market share.
Electronic specialty gases are an important branch of special gases, including high-purity nitrogen, oxygen, hydrogen, argon and helium, etc., downstream applications include semiconductors, flat panel displays and other electronic products, etc., in the wafer manufacturing process, electronic special gases play an important role in lithography, etching, chemical vapor deposition (CVD), physical vapor deposition (PVD), ion implantation and cleaning and other processes.
The global demand for electronic specialty gases is closely linked to the growth of the semiconductor industry. ACCORDING TO TECHCET'S ESTIMATES, AFFECTED BY THE INVENTORY ADJUSTMENT OF WAFER FABS, THE GLOBAL ELECTRONIC SPECIALTY GAS MARKET WILL BE ABOUT $5.1 BILLION IN 2023, WITH A YEAR-ON-YEAR GROWTH RATE OF 1.98% AND A DECREASE OF 8.22% FROM 2022. At the same time, TECHCET expects the global electronic specialty gas market to grow to $6.023 billion by 2025, with a compound annual growth rate (CAGR) of 6.39% from 2022 to 2025.
The concentration of the electronic specialty gas industry is high, and the global CR4 is close to 80%. Japan specialty gases representative companies mainly include Japan acid and Resonac, Japan acid mainly provides natural gas, specialty gases (electronic material gases and pure gases), and gas-related and electronic-related equipment, with Japan acid revenue of approximately US$8.08 billion in fiscal 2023, and non-specialty gases/specialty gases/equipment and installation services accounting for 24.2%/46.6%/29.2% of total revenue, respectively. Resonac, formerly known as Showa Denko Co., Ltd., has revenue of approximately $2.18 billion in fiscal 2023, and semiconductor front-end materials (including electronic specialty gases)/semiconductor back-end materials/equipment solutions segment revenues of $4.8/10.5/$430 million, respectively.
Sputtering targets are widely used in physical vapor deposition (PVD) techniques to provide the necessary metal or alloy materials for thin film deposition and interconnect in chip manufacturing. Affected by the recovery of the global semiconductor market, the demand for high-purity sputtering target materials continues to grow. ACCORDING TO TECHCET DATA, THE GLOBAL SEMICONDUCTOR SPUTTERING TARGET MATERIAL MARKET WILL REACH ABOUT $1.28 BILLION IN 2023, AND IS EXPECTED TO REACH $1.56 BILLION IN 2027 DUE TO THE IMPACT OF DOWNSTREAM FAB INVENTORY ADJUSTMENTS, A YEAR-ON-YEAR DECREASE OF 4.0% COMPARED WITH 2022.
With its advanced technology and stable supply chain, Japan manufacturers have mastered the main market share of sputtering target materials in the global market, especially in copper, tantalum, titanium, tungsten and other high-purity metal targets and alloy targets for a long time. JX Metals, a Japan company, maintains a leading position in the global sputtering target material market, and has the world's largest market share in semiconductor sputtering targets, rolled copper foil and high-performance copper alloy strips. According to ENEOS, in addition to the construction of two factories in Hitachi City, Ibaraki Prefecture, JX Metals has also purchased about 240,000 square meters of factory land in Hitachi City, Ibaraki Prefecture, and plans to start full operation in fiscal 2026. Among them, JX Metals acquired approximately 260,000 square meters of land in Arizona, United States, and plans to expand the production capacity of semiconductor sputtering targets.
The CMP (Chemical Mechanical Polishing) polishing process aims to remove excess material from the wafer surface and achieve global nanoscale planarization through chemical corrosion and mechanical grinding. In the semiconductor front-end process, the CMP process realizes the surface planarization of the wafer to connect different thin film processes; In the subsequent process, it is widely used in advanced packaging as an intermediate process such as photolithography and etching. According to TECHCET estimates, the global semiconductor CMP polishing materials (including polishing slurry and polishing pads, of which polishing slurry accounts for more than 50%) market size will exceed US$3.3 billion in 2023, benefiting from the continuous growth of global wafer production capacity and the application of advanced technology nodes, new materials, and new processes require more CMP process steps, TECHCET expects the global semiconductor CMP polishing material market size to exceed US$4.4 billion in 2027.
In terms of polishing slurries, United States and Japan manufacturers dominate. Major suppliers include CMC Materials (formerly Cabot, now acquired by Entegris), Versum Materials, Hitach, Fujimi and Dow. Different enterprises focus on the production of CMP polishing slurry, Fujimei mainly produces silicon polishing slurry and metal (copper, aluminum, etc.) polishing slurry; CMC materials and Versum Materials have a full range of products, while Hitachi mainly produces oxide polishing slurries. Hitachi and Fujimi are Japan companies, and their market share in 2021 is 13%/10% according to TECHCET, and Japan manufacturers together account for nearly 1/4 of the market. In terms of polishing pads, according to SEMI-net data, in 2023 United States DuPont will occupy the main market share (70%), and other suppliers include Entegris (10.5%), Dinglong (6.8%), Fujibo (4.7%), etc.
The complexity of logic semiconductors will increase significantly due to advanced manufacturing technology and design requirements, mainly reflected in the increase in the number of layers and the complexity of transistor structures, and technological progress puts forward higher requirements for the CMP polishing process. 1) Advanced packaging, 2.5D and 3D IC integration requires complex multi-layer structures, and precise planarization is required within the integrated layer to ensure the performance of the chip; The integration of layers involves complex interconnections, and the accuracy requirements of the CMP process and the use of CMP materials are much greater than those of traditional packaging. 2) In storage technologies (such as 3D NAND), the increase in the number of storage layers requires multiple CMP steps to ensure the flatness of each layer. 3) The use of new materials, AI and other high-performance computing applications are driving the use of new semiconductor materials such as SiC and GaN, and the corresponding CMP processes require specialized polishing slurry formulations and polishing pad materials to accommodate the unique properties of these materials.
Figure 7: The number of CMP processes experienced by a single wafer in the manufacturing process of different types of semiconductors
SOURCE: TECHCET, CICC RESEARCH
At present, electroplating solutions are mainly used in the copper interconnect process in wafer fabrication and advanced packaging. According to the classification of downstream applications, the electroplating copper interconnect process is used in both front-end and back-end fields, so the electroplating solution application fields can be mainly divided into front-end wafer manufacturing and back-end traditional packaging and advanced packaging. The electroplating in the front-end wafer manufacturing process is mainly to precipitate a layer of dense, non-porous, gap-free and other defective, evenly distributed copper on the wafer, which plays the role of copper interconnection; In the back-end traditional packaging, the electroplating solution is mainly used in wire bonding and metal plating scenarios. In advanced packaging, electroplating is mainly used in through-silicon vias, RDL rewiring layers and Bumping processes, in which the filling methods of through-silicon vias are divided into electroplating and CVD, electroplating is more suitable for large-diameter apertures, because the current aperture of advanced packaging is usually more than 5um, so electroplating is the mainstream through-silicon vias filling process. In the through-silicon via electroplating process, the main plating material is copper, and the Bumping process requires electroplating of copper and tin silver, and finally the bump is formed by the reflow soldering process.
ACCORDING TO TECHCET DATA, IN 2023, THE MARKET SIZE OF ELECTROPLATING METAL CHEMICALS WILL BE $947 MILLION DUE TO THE LACK OF DOWNSTREAM CAPACITY UTILIZATION, A YEAR-ON-YEAR DECREASE OF 6%, AND IN 2024, TECHCET EXPECTS THE SEMICONDUCTOR ELECTROPLATING SOLUTION MARKET SIZE TO EXCEED $1 BILLION, AN INCREASE OF 7% YEAR-ON-YEAR.
The main suppliers of global electroplating solutions are United States Dow Chemical, Lesle Chemicals and Germany Atotech, etc., and the proportion of Japan enterprises is not high, and the main domestic suppliers are Aisen Co., Ltd., Tiancheng Technology, Anji Technology and Shanghai Xinyang. Due to the high technical threshold of electroplating solution, the current market share of electroplating solution for overseas manufacturing and advanced packaging is mainly concentrated in overseas suppliers, and the products of domestic enterprises are still mainly concentrated in the field of electroplating solution for traditional packaging.
Figure 8: Semiconductor electroplating solution market size 2022-2024
SOURCE: TECHCET, CICC RESEARCH
Figure 9: Plating solution market structure for semiconductors in 2023
SOURCE: TECHCET, CICC RESEARCH
Looking ahead, we believe that the increasing demand for advanced packaging, the increase in interconnection layers in advanced logic, the application of embedded power supplies and copper backside wiring are expected to drive the market size of upstream electroplating solution materials. In terms of advanced packaging, the current development path of HBM is mainly to increase the number of stacked layers and increase the interconnection density, and the increase in the number of holes and deeper through hole depths has led to the increase in the amount of electroplating solution. In terms of advanced logic, the increase in the number of interconnect layers, the use of embedded power rail contacts to connect transistors in the back-wafer power routing, will increase the amount of copper plating in the process, resulting in more copper plating. We believe that the rapid increase in the demand for copper plating materials in the field of advanced packaging will also expand the market share of copper plating materials that meet the process requirements of integrated circuit manufacturing, such as Damascus copper interconnect, advanced packaging bump plating (Cu Pillar/Bump/RDL/UBM), and through-silicon via (TSV) plating.
Semiconductor precursor materials are a class of substances that carry target elements, are gaseous, volatile liquid or solid, have chemical and thermal stability, and have corresponding reactivity or physical properties, and are mainly used in CVD and ALD processes in thin film deposition processes.
Figure 10: CVD process diagram and precursor material application
Source: Prospectus of Zhongjuxin, Research Department of CICC
Figure 11: ALD process diagram and precursor material application
Source: Prospectus of Zhongjuxin, Research Department of CICC
There are four main technical difficulties in precursors. In terms of containers, because organic materials are unstable, flammable and will react with water, they have high requirements for synthesis, storage and decomposition, and the cylinders used for storage need to undergo complex treatment processes and long-term aging tests. In terms of analysis, most of the precursor requirements for impurities are at the ppb (part per billion) or even ppt (part per trillion) level, and the analysis equipment is also very expensive. In terms of purification, since most of the precursors are used in advanced processes, especially in the front-end process, the quality of the material has a great impact on the film-forming quality and subsequent yield of downstream customers. In terms of production, due to the instability, water reaction and corrosiveness of organic materials, they have very strict requirements for the material selection, surface treatment and sealing of pipes and containers in the production process.
According to the type of material, precursors can be mainly divided into metal-organic series and silicon series. There are many types of metal-organic series, such as high-k (high dielectric constant), barrier layer, etc., and there are many types of products used in ALD, but the amount of each is small, the unit price is high, and the growth rate is fast. The main types of silicon series include spacer (lithography barrier layer, such as HCDS, ethylsilane, etc.) and low-k (mainly used as a barrier layer for metal wiring, such as diethylmethylsilane).
In terms of market size, according to Globa Info Research, the global semiconductor precursor market size will reach $2.37 billion in 2022, and the market size is expected to be $1.93/3.37 billion in 2023/2024, and is expected to maintain a high growth rate in the future, and is expected to reach $5.45 billion in 2029, with a CAGR of 10.9%.
In terms of competition pattern, the precursor industry applied to semiconductor manufacturing process has a high entry threshold and high market concentration, and the current manufacturers are basically Merck in Germany, Air Liquide in France, Intergo in United States, Tri Chemical in Japan, Soul-Brain, DNF, Hansol Chemical in Korea, etc. Among them, Merck is the world's largest semiconductor manufacturer of precursors for CVD/ALD, according to QY research data, the global scale of Merck Semiconductor's precursors for CVD/ALD will account for 29.82% in 2023; followed by Air Liquide, accounting for 28.14% of the global scale. At present, there is still a gap between domestic semiconductor precursors and foreign countries. The domestic participants are mainly Jacques Technology, Nanda Optoelectronics and Zhongjuxin.
Exhibit 12: Global semiconductor precursor market size 2019-2029
资料来源:Globa Info Research,中金公司研究部
Exhibit 13: Global semiconductor precursor competitive landscape in 2023
Source: QY research, CICC Research
Back-end materials: Japanese factories occupy a leading position in many fields such as plastic packaging and underfill materials
IC substrate (integrated circuit packaging substrate) is mainly used to connect the chip with external circuits, and can be mainly divided into BT substrate and ABF substrate according to chemical composition and structure. The competition pattern of the global IC substrate market is relatively fragmented, with Taiwan and Japan occupying an important position. According to Yole's estimates, in the global IC substrate market in 2022, Japan manufacturers IBIDEN/Kyocera/SHINKO will occupy 10%/9%/7% of the market share, respectively, and other major suppliers include Kinsus and Unimicron in Taiwan, Samsung in Korea, etc. Japan has a significant advantage in the manufacture of high-end IC substrates, and the base material of ABF substrates is ABF film, which is developed and monopolized by the Ajinomoto Group of Japan. Japan companies such as IBIDEN and Shinko dominate the global ABF substrate market, with market shares of 21% and 18.9%, respectively, in 2022.
Exhibit 14: IC Substrate Market Landscape in 2022
Source: Yole, CICC Research
Exhibit 15: ABF Carrier Board Market Landscape in 2022
Source: Yole, CICC Research
Epoxy molding compound (EMC) is mainly used in the molding process of semiconductor packaging, which is made of epoxy resin as matrix resin, high-performance phenolic resin as curing agent, silicon powder and other fillers, and a variety of additives.
In the global packaging materials market, Japan has shown a significant market leadership in the field of molding materials. In particular, Japan companies have a significant market share in molding materials for high-end packaging technologies such as fan-out wafer-level packaging (FOWLP) and integrated fan-out wafer-level packaging (InFO). According to SEMI statistics, Japan's market share of traditional molding compounds exceeded 65% in 2021, of which Sumitomo Bakelite and Resonac of Japan are the major players in the epoxy molding compound market.
According to QY Research, the global market sales of epoxy molding materials for electronic packaging will reach 16.6 billion yuan in 2023 and are expected to reach 24.7 billion yuan in 2030, with a CAGR of 5.1%. From the perspective of EMC for advanced packaging, 2.5D packaging, 3D IC packaging, and fan-out wafer-level packaging (FOWLP) require the use of EMC with low warpage, low expansion, high filling, and high thermal conductivity due to its high integration and versatility to optimize thermal management and improve mechanical stability. Taking FOWLP as an example, at present, the molding materials used in FOWLP packaging are mainly liquid molding compound (LMC) and granular epoxy molding compound (GMC). Taking HBM as an example, as the number of stack layers increases from 8 layers to 12 layers, the requirements for EMC heat dissipation performance and other parameters are higher, so the unit price is higher, and the EMC usage also increases as the stack height increases. From this, we can speculate that with the increase in the penetration rate of advanced packaging, the market size of EMC materials is expected to continue to rise. According to QY research, the global advanced packaging epoxy molding material market sales will reach 2.2 billion yuan in 2023 and are expected to reach 3.8 billion yuan in 2030, with a CAGR of 7.1%.
List of Japan semiconductor materials companies
Shin-Etsu Chemical (4063.HK) T): Supplier of highly profitable PVC/wafer/lithography materials
Shin-Etsu Chemical was founded in 1926 as Shin-Etsu Nitrogen Fertilizer Co., Ltd., renamed Shin-Etsu Chemical Industry Co., Ltd. in 1940, and listed on the Tokyo Stock Exchange in 1949. So far, Shin-Etsu Chemical has formed four major business segments: infrastructure materials, electronic materials, functional materials, processing and specialized services, and ranks first in the world in the fields of polyvinyl chloride (PVC) resin, silicon wafers, synthetic quartz substrates for LCD photomasks, and synthetic cellulose, second in the world in the fields of photoresists, photomask blanks, cellulose methyl ethers, and fourth in the field of organic silicon (according to the company's official website).
Figure 16: Historical development of Shin-Etsu Chemical
Source: Company's official website, CICC Research Department
SUMCO (3436.T): A silicon wafer supplier with a global market share of more than 20%.
SUMCO was established on July 30, 1999 as a joint venture between Sumitomo Metal Industries, Mitsubishi Materials, and Mitsubishi Materials Silicon Division, and was initially known as Mitsubishi Sumitomo Silicon Co., Ltd., and was renamed SUMCO Corporation in 2005. SUMCO products are mainly sold overseas, accounting for 80% of overseas sales, and its main customers include major wafer foundries and IDM manufacturers such as TSMC and SAMSUNG, and manufacturing plants have been set up in Japan, United States, Taiwan, Indonesia and other places.
Exhibit 17: History of SUMCO
Note: (1) In July 1999, Sumitomo Metal Industries Co., Ltd., Mitsubishi Materials Co., Ltd., and Mitsubishi Materials Silicon Co., Ltd. jointly invested in the establishment of Silicon United Manufacturing Corp., a company specializing in the development and production of 300mm silicon wafers. (2) In February 2002, Silicon United Manufacturing Corp. acquired the silicon wafer business from Sumitomo Metal Industries, Ltd. and merged with Mitsubishi Materials Silicon Corporation, while changing its trade name to Sumitomo Mitsubishi Silicon Corporation
Source: SUMCO official website, CICC Research Department
JSR (4185. T): Semiconductor photoresist supplier, diversified material platform enterprise
JSR Corporation was founded in 1957 as "Japan Synthetic Rubber Co., Ltd.", changed its name to "JSR Corporation" in 1990, and was listed on the Tokyo Stock Exchange in 2001. JSR started as a synthetic rubber manufacturer, but since the 1970s, JSR has been involved in the field of semiconductor materials and has transformed into a high-tech materials company by expanding its product line and market scope. JSR has a significant market share in the fields of rubber and plastic materials, optoelectronic materials, and biotechnology, especially in the photoresist and liquid crystal display (LCD) materials markets. According to Nikkei Asia, as of 2022, Japanese manufacturers accounted for about 77% of the photoresist market, and JSR accounted for 19% of the market.
Exhibit 18: JSR's business expansion journey
Source: JSR official website, CICC Research Department
TOK (4186. T): One of the world's EUV photoresist suppliers
TOK (Tokyo Applied Chemical Industry Co., Ltd.) was established at the beginning of the main business is the development and production of high-purity reagents, in the 60s of the 20th century began to enter the field of semiconductor manufacturing, is one of the first companies in Japan to set foot in the field of photoresist, has more than 50 years of accumulation in the field of photoresist research and development. The company's product line covers semiconductor manufacturing materials, photoresists, electronic grade adhesives, and photoresist supporting chemicals. According to the company's official website, TOK dominates the high-end photoresist market, with a market share of 38.0%/36.6% in EUV photoresist/KrF excimer laser photoresist in 2022. In addition, Tokyo Oika also provides semiconductor equipment such as gluing machines and developers. Tokyo Applied Chemicals has R&D and production bases not only in Japan, but also in Korea and Taiwan, China, and is committed to maintaining close cooperation with customers and promoting the regional development of the company's products.
Exhibit 19: History of TOK
Source: TOK's official website, CICC Research Department
Exhibit 20: TOK Revenue Split by Product/Quarter
Note: (1) Net sales in 2022/12 Others including Equipment are adjusted for new segments in 2022/12. (2) On March 1, 2023, the equipment business was transferred to AIMECHATEC, Ltd.
Source: Company's official website, CICC Research Department
Exhibit 21: FY23 Electronic Functional Materials Revenue Percentage Breakdown
Source: Company's official website, CICC Research Department
TOPPAN (7911.T): One of the world's independent third-party mask suppliers
TOPPAN was founded in 1900 and is headquartered in Tokyo, Japan. In 1960, the company established an experimental factory and began to produce masks for the production of desktop transistors, and now the company has become a leading enterprise in the field of masks in the field of masks, forming three business modules: information and communication business, life and industrial business, and electronic business.
Exhibit 22: History of TOPPAN
Source: Company's official website, CICC Research Department
HOYA(7741.T):EUV掩模基板制造商
Founded in 1941 as "Tokyo Optical Co., Ltd.", HOYA later changed its name to "HOYA Co., Ltd.", and began to enter the optical industry in 1960, with specific products covering mask substrates, HDD glass substrates, spectacle lenses, endoscopes, and digital camera mirrors. At present, the company's market share of semiconductor photomask substrates, mask plates for FPDs, glass substrates for HDDs, and optical lenses in the field of information technology ranks first in the world. In the field of life services, the market share of retail contact lenses, intraocular lenses and ceramic artificial bone ranks first in the world, the market share of spectacle lenses ranks second in the world, and the market share of medical endoscopes ranks third in the world.
Exhibit 23: History of HOYA
Source: Company's official website, CICC Research Department
IBIDEN(4062.T):全球PCB及IC载板供应商
IBIDEN (Japan Ifiden Co., Ltd.) is one of the world's leading PCB development and production companies, and is a world leader in the technology and processing technology in the fields of semiconductor IC substrates and multilayer high-density HDI. The company's main business includes electronic materials business and ceramics business, of which the electronic materials business is mainly IC substrates, which are used in PCs, servers, data centers and other fields, and the ceramic business includes precision ceramic products, graphite products, silicon carbide ceramic products, etc.
EXHIBIT 24: HISTORICAL EVOLUTION OF IBINEN'S TECHNOLOGY AND PRODUCTS
Source: Company's official website, CICC Research Department
Risk Warning
Global fab demand declined. If factors such as macroeconomic downturn and weak terminal demand lead to a decline in the utilization rate of wafer foundries and packaging and testing plants, it may have a greater impact on the revenue and profit of semiconductor materials companies.
The company's product research and development capabilities have weakened. Semiconductor materials need to be continuously upgraded and iterated to meet the diversified semiconductor production process, and if the manufacturer's R&D capability is weakened or the R&D investment is insufficient, it may lead to the weakening of the manufacturer's global competitiveness, which will affect product shipments.
Geopolitical conflicts intensify. Semiconductor materials are in the upstream of the semiconductor industry chain, and the direct customers are mainly wafer foundries and packaging and testing plants, and the geographical concentration of customers is high.
Article source:
This article is excerpted from: "Global Hard Technology Tour (II): Looking at History and Thinking Today, Why Japan's Semiconductor Material Innovation is Standing at the Forefront" released on August 6, 2024
江磊 分析员 SAC 执证编号:S0080523070007 SFC CE Ref:BTT278
张怡康 分析员 SAC 执证编号:S0080522110007 SFC CE Ref:BTO172
贾顺鹤 分析员 SAC 执证编号:S0080522060002 SFC CE Ref:BTN002
彭虎 分析员 SAC 执证编号:S0080521020001 SFC CE Ref:BRE806
Legal Notices